Nova Measuring Instruments Ltd. (NVMI)

$198.6

+1.42

(+0.72%)

Market is closed - opens 7 PM, 29 Jul 2024

Performance

  • $196.16
    $202.54
    $198.60
    downward going graph

    1.23%

    Downside

    Day's Volatility :3.15%

    Upside

    1.95%

    downward going graph
  • $90.59
    $247.21
    $198.60
    downward going graph

    54.39%

    Downside

    52 Weeks Volatility :63.36%

    Upside

    19.66%

    downward going graph

Returns

PeriodNova Measuring Instruments Ltd.Sector (Technology)Index (Russel 2000)
3 Months
13.1%
6.9%
0.0%
6 Months
35.32%
5.9%
0.0%
1 Year
69.97%
21.3%
0.0%
3 Years
103.74%
38.3%
-20.2%

Highlights

Market Capitalization
6.0B
Book Value
$27.28
Earnings Per Share (EPS)
4.33
PE Ratio
47.5
PEG Ratio
0.0
Wall Street Target Price
242.6
Profit Margin
26.26%
Operating Margin TTM
26.25%
Return On Assets TTM
7.54%
Return On Equity TTM
19.5%
Revenue TTM
527.5M
Revenue Per Share TTM
18.24
Quarterly Revenue Growth YOY
7.3%
Gross Profit TTM
317.0M
EBITDA
150.5M
Diluted Eps TTM
4.33
Quarterly Earnings Growth YOY
0.05
EPS Estimate Current Year
5.58
EPS Estimate Next Year
6.57
EPS Estimate Current Quarter
1.27
EPS Estimate Next Quarter
1.29

Analyst Recommendation

Buy
    83%Buy
    16%Hold
    0
    0%Sell
Based on 12 Wall street analysts offering stock ratings for Nova Measuring Instruments Ltd.(by analysts ranked 0 to 5 stars)
Based on 12 analyst
  • Current
  • 1M Ago
  • 3M Ago
Buy
10
9
9
Hold
2
2
2
Sell
00
00
00

Analyst Forecast

What analysts predicted

Upside of 22.16%

Current $198.60
Target $242.60

Company Financials

FY18Y/Y Change
Revenue
251.1M
↑ 13.13%
Net Income
54.4M
↑ 17.04%
Net Profit Margin
21.65%
↑ 0.72%
FY19Y/Y Change
Revenue
224.9M
↓ 10.44%
Net Income
35.2M
↓ 35.32%
Net Profit Margin
15.64%
↓ 6.01%
FY20Y/Y Change
Revenue
269.4M
↑ 19.78%
Net Income
47.9M
↑ 36.21%
Net Profit Margin
17.78%
↑ 2.14%
FY21Y/Y Change
Revenue
416.1M
↑ 54.46%
Net Income
93.1M
↑ 94.34%
Net Profit Margin
22.37%
↑ 4.59%
FY22Y/Y Change
Revenue
570.7M
↑ 37.16%
Net Income
140.2M
↑ 50.6%
Net Profit Margin
24.57%
↑ 2.2%
FY23Y/Y Change
Revenue
517.9M
↓ 9.25%
Net Income
136.3M
↓ 2.78%
Net Profit Margin
26.32%
↑ 1.75%
Q4 FY22Q/Q Change
Revenue
151.2M
↑ 5.09%
Net Income
36.1M
↑ 2.92%
Net Profit Margin
23.87%
↓ 0.5%
Q1 FY23Q/Q Change
Revenue
132.2M
↓ 12.59%
Net Income
34.6M
↓ 4.08%
Net Profit Margin
26.19%
↑ 2.32%
Q2 FY23Q/Q Change
Revenue
122.7M
↓ 7.18%
Net Income
29.9M
↓ 13.58%
Net Profit Margin
24.39%
↓ 1.8%
Q3 FY23Q/Q Change
Revenue
128.8M
↑ 4.98%
Net Income
33.7M
↑ 12.57%
Net Profit Margin
26.15%
↑ 1.76%
Q4 FY23Q/Q Change
Revenue
134.2M
↑ 4.2%
Net Income
38.1M
↑ 13.0%
Net Profit Margin
28.36%
↑ 2.21%
Q1 FY24Q/Q Change
Revenue
141.8M
↑ 5.65%
Net Income
36.9M
↓ 3.17%
Net Profit Margin
25.99%
↓ 2.37%
FY18Y/Y Change
Total Assets
333.4M
↑ 17.7%
Total Liabilities
52.7M
↓ 6.82%
FY19Y/Y Change
Total Assets
400.4M
↑ 20.1%
Total Liabilities
85.9M
↑ 63.04%
FY20Y/Y Change
Total Assets
655.8M
↑ 63.77%
Total Liabilities
284.2M
↑ 230.89%
FY21Y/Y Change
Total Assets
805.2M
↑ 22.78%
Total Liabilities
331.4M
↑ 16.59%
FY22Y/Y Change
Total Assets
984.1M
↑ 22.23%
Total Liabilities
397.1M
↑ 19.84%
FY23Y/Y Change
Total Assets
1.1B
↑ 15.21%
Total Liabilities
383.1M
↓ 3.55%
Q4 FY22Q/Q Change
Total Assets
984.1M
↑ 5.39%
Total Liabilities
397.1M
↑ 4.3%
Q1 FY23Q/Q Change
Total Assets
1.0B
↑ 4.35%
Total Liabilities
397.6M
↑ 0.13%
Q2 FY23Q/Q Change
Total Assets
1.0B
↑ 1.18%
Total Liabilities
376.8M
↓ 5.25%
Q3 FY23Q/Q Change
Total Assets
1.1B
↑ 4.34%
Total Liabilities
383.4M
↑ 1.75%
Q4 FY23Q/Q Change
Total Assets
1.1B
↑ 4.58%
Total Liabilities
383.1M
↓ 0.08%
Q1 FY24Q/Q Change
Total Assets
1.2B
↑ 4.92%
Total Liabilities
397.8M
↑ 3.85%
FY18Y/Y Change
Operating Cash Flow
36.1M
↓ 41.58%
Investing Cash Flow
-36.7M
↓ 35.81%
Financing Cash Flow
-4.4M
↓ 269.53%
FY19Y/Y Change
Operating Cash Flow
41.2M
↑ 14.1%
Investing Cash Flow
-25.5M
↓ 30.61%
Financing Cash Flow
-6.7M
↑ 50.16%
FY20Y/Y Change
Operating Cash Flow
60.3M
↑ 46.24%
Investing Cash Flow
-42.5M
↑ 66.83%
Financing Cash Flow
181.4M
↓ 2820.95%
FY21Y/Y Change
Operating Cash Flow
132.3M
↑ 119.51%
Investing Cash Flow
-238.5M
↑ 461.72%
Financing Cash Flow
11.0K
↓ 99.99%
FY22Y/Y Change
Operating Cash Flow
119.5M
↓ 9.62%
Investing Cash Flow
-100.3M
↓ 57.96%
Financing Cash Flow
-29.8M
↓ 271063.64%
Q4 FY22Q/Q Change
Operating Cash Flow
49.6M
↑ 55.23%
Investing Cash Flow
-41.6M
↓ 41.7%
Financing Cash Flow
-15.0M
↑ 41.09%
Q1 FY23Q/Q Change
Operating Cash Flow
24.2M
↓ 51.27%
Investing Cash Flow
9.1M
↓ 121.97%
Financing Cash Flow
115.0K
↓ 100.77%
Q2 FY23Q/Q Change
Operating Cash Flow
24.2M
↑ 0.0%
Investing Cash Flow
9.1M
↑ 0.0%
Financing Cash Flow
115.0K
↑ 0.0%
Q3 FY23Q/Q Change
Operating Cash Flow
46.7M
↑ 93.18%
Investing Cash Flow
-58.4M
↓ 738.5%
Financing Cash Flow
7.0K
↓ 93.91%

Technicals Summary

Sell

Neutral

Buy

Nova Measuring Instruments Ltd. is currently not in a favorable trading position ( SELL ) according to technical analysis indicators.

Peers

Company Name1 Month6 Month1 Year3 Years5 Years
Nova Measuring Instruments Ltd.
Nova Measuring Instruments Ltd.
-14.46%
35.32%
69.97%
103.74%
598.23%
Entegris, Inc.
Entegris, Inc.
-7.21%
2.69%
18.44%
4.1%
181.11%
Applied Materials, Inc.
Applied Materials, Inc.
-12.86%
21.22%
45.53%
46.1%
298.74%
Lam Research Corporation
Lam Research Corporation
-16.26%
5.37%
37.63%
38.06%
319.51%
Teradyne Inc.
Teradyne Inc.
-15.34%
17.9%
8.46%
-2.43%
120.65%
Kla-tencor Corporation
Kla-tencor Corporation
-6.21%
27.27%
66.61%
139.4%
447.01%
Company NameP/E RatioP/B RatioPEG RatioEPSROEROADiv YieldBVPS
Nova Measuring Instruments Ltd.
Nova Measuring Instruments Ltd.
47.5
47.5
0.0
5.58
0.2
0.08
NA
27.28
Entegris, Inc.
Entegris, Inc.
59.67
59.67
1.58
3.29
0.1
0.03
0.0
22.75
Applied Materials, Inc.
Applied Materials, Inc.
23.75
23.75
2.48
8.39
0.45
0.16
0.01
21.98
Lam Research Corporation
Lam Research Corporation
33.44
33.44
3.11
29.71
0.44
0.14
0.01
61.36
Teradyne Inc.
Teradyne Inc.
54.79
54.79
1.34
3.12
0.17
0.09
0.0
16.64
Kla-tencor Corporation
Kla-tencor Corporation
37.29
37.29
2.73
23.28
0.9
0.15
0.01
22.97
Company NameAnalyst ViewMarket Cap5 Years Return %PE RatioProfit Margin
Nova Measuring Instruments Ltd.
Nova Measuring Instruments Ltd.
Buy
$6.0B
598.23%
47.5
26.26%
Entegris, Inc.
Entegris, Inc.
Buy
$18.8B
181.11%
59.67
9.31%
Applied Materials, Inc.
Applied Materials, Inc.
Buy
$171.0B
298.74%
23.75
27.57%
Lam Research Corporation
Lam Research Corporation
Buy
$119.0B
319.51%
33.44
25.35%
Teradyne Inc.
Teradyne Inc.
Buy
$22.4B
120.65%
54.79
16.15%
Kla-tencor Corporation
Kla-tencor Corporation
Buy
$101.8B
447.01%
37.29
27.19%

Insights on Nova Measuring Instruments Ltd.

  • Increasing Revenue

    Revenue is up for the last 4 quarters, 122.70M → 141.79M (in $), with an average increase of 4.7% per quarter

  • Decreasing Net Profit

    Netprofit is down for the last 2 quarters, 38.06M → 36.86M (in $), with an average decrease of 3.2% per quarter

  • Vs KLAC

    In the last 3 years, Kla-tencor Corporation has given 139.4% return, outperforming this stock by 35.7%

Institutional Holdings

  • FMR Inc

    9.99%
  • Wasatch Advisors LP

    9.03%
  • MENORA MIVTACHIM HOLDINGS LIMITED

    4.40%
  • Harel Insurance Invests & Fin Srvcs Ltd

    3.99%
  • Migdal Insurance & Financial Holdings Ltd

    3.84%
  • Vanguard Group Inc

    3.40%

Company Information

nova measuring instruments ltd. is a leading provider of advanced, high-throughput metrology solutions for semiconductor manufacturing worldwide. used by over 80% of the largest integrated-circuit manufacturers, nova’s solutions deliver the high-volume complex measurements required for effective process control. nova offers a selection of integrated and stand-alone metrology platforms, which are complimented by the powerful novamars® modeling engine. this flexible offering enables nova to partner with semiconductor manufacturers throughout the entire product lifecycle; from application development to high-volume production. featuring a unique combination of spectroscopic reflectrometry and scatterometry, nova’s metrology solutions measure a wide variety of features and parameters including cd, trench depth, photoresist height, thickness and shape of complex layer stacks. with nova, you get the tight wafer-to-wafer and within-wafer control necessary to develop and produce advance

Organization
Nova Measuring Instruments Ltd.
Employees
1202
CEO
Mr. Eitan Oppenhaim
Industry
Electronic Technology

FAQs